Azotirovanie.ru

Инженерные системы и решения
3 просмотров
Рейтинг статьи
1 звезда2 звезды3 звезды4 звезды5 звезд
Загрузка...

Исследование последовательных счетчиков

Исследование последовательных счетчиков

Цель работы: Изучение принципов построения последовательных счетчиков.

Краткие теоретические сведения. Последовательный синхронный счетчик с параллельным переносом представляет собой несколько соединенных между собой автоматов Мура (каждый автомат содержит 1 триггер и КС), переключательная функция которых задается отдельно для каждого (рис. 7.1). Импульсы синхронизации подаются на входы синхронизации всех триггеров счетчика. Функции возбуждения такого счетчика формируются следующим образом:

где – младший разряд счетчика; – старший разряд счетчика.

Рис. 7.1. Представление последовательного двоичного счетчика с параллельным переносом на основе автомата Мура

Последовательный двоичный счетчик с параллельным переносом строится как правило на или ‑триггерах. Последовательный двоично‑кодированный счетчик с параллельным переносом строится как правило на или ‑триггерах со входами сброса (рис. 7.2). ‑триггер со входом сброса имеет следующее описание:

Рис. 7.2. D и T‑триггеры со входом сброса (а и б соответственно)

‑триггер со входом сброса может быть описан выражением:

При построении последовательного двоичного счетчика с параллельным переносом на основе триггеров со входами сброса перевод счетчика в исходное состояние производится путем подачи сигнала на все триггеры.

Сброс двоично-кодированного счетчика осуществляется подачей сигнала на все триггеры в момент, когда счетчик достиг своего максимального значения .

При построении двоично‑кодированного вычитающего счетчика используются триггеры со входами установки для установки счетчика в некоторое начальное значение .

‑триггер со входом установки имеет следующее описание:

Исходные данные: приведены в таблице 7.1.

Подготовка к работе: перед выполнением работы изучить теоретический материал по литературе и лекциям, выполнить пункты 1 – 2 задания для получения допуска к выполнению лабораторной работы.

Таблица 7.1. Варианты заданий к лабораторной работе №7

Тип счетчикаБазисТип триггеров
аба, ба, бабаб
суммирующийДНФКНФ
суммирующийКНФДНФ
суммирующийДНФКНФ
суммирующийКНФДНФ
суммирующийДНФКНФ
суммирующийКНФДНФ
суммирующийДНФКНФ
суммирующийКНФДНФ
суммирующийДНФКНФ
суммирующийКНФДНФ

1) построить совмещенные таблицы переходов и выходов двоично‑кодированного счетчика с заданным максимальным значением (если отсутствуют ‑триггеры, их можно получить из , или ‑триггеров);

2) найти СовДНФ или СовКНФ функций возбуждения триггеров счетчика;

3) с помощью карт Карно найти МДНФ или МКНФ функций возбуждения триггеров счетчика;

4) построить в универсальном базисе логическую схему двоично‑кодированного параллельного счетчика;

5) построить временные диаграммы работы двоично‑кодированного последовательного счетчика;

6) написать программу на языке VHDL, реализующую требуемый двоично‑кодированный последовательный счетчик в универсальном базисе;

7) провести моделирование счетчика в HDL Bencher;

8) получить конфигурационную последовательность проекта, реализующего двоично‑кодированный последовательный счетчик для конкретной микросхемы ПЛИС, и посмотреть ее в редакторе связей Floorplanner.

Читайте так же:
Счетчик кругов для плаванья

1) название, цель работы, задание;

2) проектирование схемы в соответствии с планом задания (п.п. 1 – 2 задания);

3) результаты моделирования схемы последовательного счетчика в схемотехническом редакторе и определение динамических параметров на основе этих результатов.

Пример выполнения работы. Разработка последовательного суммирующего счетчика с параллельным переносом с .

1‑й этап. Построение совмещенных таблиц переходов и выходов двоично‑кодированного параллельного счетчика (табл. 7.2 – 7.4).

Определим количество ячеек (триггеров), необходимое для реализации счетчика с требуемым коэффициентом счета:

Таблица 7.2. Совмещенная таблица переходов и выходов 1‑го разряда двоично‑кодированного последовательного счетчика, построенного на основе ‑триггеров (при )

Текущее состояниеСледующее состояниеФункции возбуждения

Таблица 7.3. Совмещенная таблица переходов и выходов 2‑го разряда двоично‑кодированного последовательного счетчика, построенного на основе ‑триггеров (при )

Текущее состояниеСледующее состояниеФункции возбуждения

Таблица 7.4. Совмещенная таблица переходов и выходов 3‑го разряда двоично‑кодированного последовательного счетчика, построенного на основе ‑триггеров (при )

Текущее состояниеСледующее состояниеФункции возбуждения

2‑й этап. Нахождение СовДНФ ФВ счетчика (по табл. 7.2 – 7.4):

3‑й этап. Нахождение МДНФ ФВ двоично‑кодированного последовательного счетчика с помощью карт Карно (рис. 7.2):

Рис. 7.2. Карты Карно для нахождения МДНФ ФВ (7.1) – (7.3)

Согласно рис. 7.2 ФВ ‑триггеров счетчика в МДНФ примут вид:

4‑й этап. Построение ЛС двоично‑кодированного счетчика (рис. 7.3) в универсальном базисе на основе полученных ФВ (7.4) – (7.6).

Рис. 7.3. Двоично‑кодированный последовательный счетчик с

5‑й этап. Построение временных диаграмм (смотри работу № 5) для синтезированного двоично‑кодированного последовательного счетчика.

6‑й этап. Написание программы на языке VHDL, реализующей двоично‑кодированный последовательный счетчик.

entity lab6_vhdl_tbw is

Port ( c : in std_logic;

Q : out std_logic_vector(3 downto 1));

architecture Behavioral of lab6_vhdl_tbw is

SIGNAL U : STD_LOGIC_VECTOR(3 DOWNTO 1);

SIGNAL Q_IN : STD_LOGIC_VECTOR(3 DOWNTO 1);

U(2) <= (Q_IN(2) AND (NOT Q_IN(1))) OR (Q_IN(1) AND (NOT Q_IN(2)));

U(3) <= (Q_IN(2) AND Q_IN(1)) OR (Q_IN(3) AND (NOT Q_IN(1)));

IF (c=’1′ AND c’EVENT) THEN

1. Перечислить основные признаки классификации счетчиков.

2. Сформировать структуру суммирующего, вычитающего, реверсивного, счетчика с переменным модулем счета по заданию преподавателя.

3. Чем отличается работа счетчика при подсчете числа импульсов и при делении их частоты?

4. В чем состоят принципы переноса и каковы их разновидности?

5. Рассмотреть стандартную микросхему счетчика и объяснить назначение выводов.

6. Объяснить различие двоичных и двоично-десятичных счетчиков.

Литература

1. Потемкин И.С. Функциональные узлы цифровой автоматики. – М.: Энергоатомиздат, 1988. – 320 с.

2. Скаржепа В.А., Новацкий А.А., Синько В.И. Электроника и микросхемотехника. – Киев: Выща шк. Головное издательство, 1989. – 279 с.

3. Прянишников В.А. Электроника: полный курс лекций. – С.-Пб.: КОРОНА‑принт, 2004. 416 с.

Читайте так же:
Как правильно подключить счетчик энергомера цэ6803в

4. Угрюмов Е.П. Цифровая схемотехника. – С.-Пб.: БХВ‑Петербург, 2004. 528 с.

5. Баранов С.И., Скляров В.А. Цифровые устройства на программируемых БИС с матричной структурой. – М.: Радио и связь, 1986. – 272 с.

6. Баранов С.И. Синтез микропрограммных автоматов (граф-схемы и автоматы). – 2-е изд., перераб. и доп. – Л.: Энергия. Ленингр. отделение, 1979. –232 с.

Содержание

Лабораторная работа № 1. Способы представления переключательных функций____________________________________________________________1

Лабораторная работа № 2. Изучение мультиплексора______________________3

Лабораторная работа № 3. Изучение параллельного двоичного сумматора____5

Лабораторная работа № 4. Исследование дешифраторов и шифраторов_______9

Лабораторная работа № 5. Изучение триггеров__________________________12

Лабораторная работа № 6. Изучение двоично‑кодированного параллельного счетчика___________________________________________________________15

Счетчики. Анализ и синтез

Знакомство с принципом действия счетчиков различных типов.

Овладение методикой синтеза синхронных счетчиков с произвольным модулем счета.

Ознакомление с особенностями работы типовых счетчиков в интегральном исполнении.

2. Основные положения

Счетчиком называют цифровое устройство (цифровой автомат), сигналы на выходе которого, в определенном коде, отображают число импульсов, поступивших на счетный вход. Кроме того, в счётчиках выполняются такие микрооперации, как установка в исходное состояние, хранение и выдача слов. По мере поступления входных сигналов счетчик последовательно меняет свои состояния, образованные комбинациями состояний триггеров со счетным входом. Число разрешенных состояний счетчика называют модулем счета , коэффициентом пересчета или емкостью M (в общем случае , где n — число триггеров или двоичных разрядов счетчика). Счетчики классифицируют по значению модуля, направлению счета и способу организации межразрядных связей.

По значению модуля счета различают: двоичные (), двоично-кодированные с произвольным модулем , с одинарным кодированием и др.

По направлению счета: суммирующие (прямого счета — Up — counter ), вычитающие (обратного счета — Down — counter ) и реверсивные ( Up — Down — counter ).

По способу организации межразрядных связей различают счетчики с последовательным , параллельным и комбинированным переносами.

Кроме того, все перечисленные типы счетчиков принято делить на два вида: синхронные и асинхронные . При этом в асинхронных счетчиках изменение его состояния, вызванное воздействием очередного импульса, характеризуется последовательным во времени изменением состояний триггеров (как правило, это счетчики с последовательным переносом). В синхронных счетчиках смена состояний характеризуется одновременным во времени изменением состояний его триггеров. В этом качестве синхронные счетчики образуют один из видов синхронных автоматов, потому к ним может быть применена и стандартная методика синтеза этого класса автоматов.

Определим некоторые закономерности двоичных счётчиков. Соответствие между числом входных импульсов и состояниями 3-разрядного двоичного счетчика (прямой и обратный счет) представлено в табл. 3.1. Рассматривая табл. 3.1 для прямого счета, можно отметить две закономерности:

Читайте так же:
Счетчик фланцевый ду 150

Значение переменной Q i изменяется тогда, когда переменная в соседнем младшем разряде Q i — 1 переходит из состояния «1» в состояние «0».

Значение выходной переменной Q i изменяется при поступлении очередного импульса счета в том случае, когда переменные во всех младших разрядах Q i — 1 , . Q 1 находятся в состоянии «1».

Первая закономерность указывает на возможность реализации счетчика асинхронного типа, вторая позволяет построить синхронный счетчик. Для вычитающего счетчика аналогичные закономерности можно сформулировать так:

1. Значение выходной переменной Q i изменяется, когда переменная в соседнем младшем разряде Q i — 1 переходит из состояния «0» в состояние «1».

2. Значение выходной переменной Q i изменяется при поступлении очередного импульса счета в том случае, когда все переменные в предыдущих младших разрядах Q i — 1 , . Q 1 находятся в состоянии «0».

Учебное пособие: Исследование двоичных счетчиков

Кольцевой счетчик представляет собой регистр, у которого информационный вход триггеров D-типа (или оба входа JK- или RS-триггеров в случае их применения) соединен с выходом (или с обоими выходами) последней ступени, образуя замкнутое кольцо.

Если в один из разрядов регистра ввести логическую единицу или ноль, то эта единица или ноль с каждым тактовым импульсом будет переходить от триггера к триггеру с циклом, равным числу триггеров. Поскольку состояние всех триггеров регистра, за исключением одного, одинаково, активное состояние этого разряда однозначно характеризует число входных тактовых импульсов с учетом, естественно, числа циклов.

На рис. 5 показана логическая структура пятиразрядного кольцевого счетчика.

На рис. 6 показана его временная диаграмма.

Кроме RS (JK)-триггеров в таких схемах применяют также и D-триггеры. В последнем случае инверсные выходы триггеров не используются. До начала работы наряду с вводом логической 1 в первый разряд остальные триггеры устанавливаются в ноль, поскольку состояние, которое они примут в момент включения питания, непредсказуемо.

В отличие от двоичных счетчиков преобразование последовательности импульсов в требуемый код (например, восьмеричный или десятичный) здесь обеспечивается без помощи дешифратора, что является преимуществом кольцевых счетчиков. Каждый из выходов приходит в активное состояние с частотой fвых =fвх /m, где m-число триггеров, т.е. коэффициент счета кольцевого счетчика численно равен числу триггеров. Поскольку кольцевые счетчики не содержат внешних логических элементов, они обладают большим быстродействием.

Кольцевым счетчикам свойственно два недостатка.

Первый – повышенный расход триггеров и соответственно большие экономические и энергетические затраты. Так, например, для кольцевого счетчика с коэффициентом счета 16 потребуется 16 триггеров, в то время как для двоичного счетчика достаточно четырех.

Второй – вероятность сбоев. Если под действием помех произойдет ошибочный переброс отдельных триггеров, то такое состояние, раз возникнув, само не исправится. Этот недостаток устраняют введением корректирующей логической цепи, следящей за состоянием триггеров. При появлении ложных сигналов на вход подаются импульсы, исправляющие положение в новом цикле.

Читайте так же:
Схема счетчика 3 полюсного

2. ЦЕЛЬ РАБОТЫ

2.1 Изучение работы двоичных счетчиков, экспериментальное исследование графа переходов счетчика

2.2 Исследование быстродействия счетчиков и способов его повышения

Рис. 4 Схема реверсивного счетчика

3. ДОМАШНЕЕ ЗАДАНИЕ

3.1 Ознакомиться с заданием на проведение эксперимента

3.2 Изучить по литературе и по теоретической части двоичные счетчики

3.3 Изобразить в тетради для отчетов схемы изучаемых счетчиков

(рис. 1, 2, 3,4,5,7,8,9,10) и развернутую принципиальную схему счетчика, соответствующую рис. 11.

3.4 Продумайте методику исследования времени перехода десятичного счетчика из состояния 1001 в состояние 0000.

4. ЗАДАНИЕ НА ПРОВЕДЕНИЕ ЭКСПЕРИМЕНТА

4.1 Собрать двоичный асинхронный счетчик с последовательным переносом (рис. 7). Экспериментально исследовать граф перехода счетчика. Определить время перехода счетчика из состояния 1111 в состояние 0000.

Для этого используется схема, показанная на рис. 7. Последовательность импульсов с выхода А рециркуляционного генератора подается на шину установки счетчика в состояние 1111. Эта установка является инверсной (в соответствии со свойствами триггера 155ТВ1) и производится уровнем «0» (рис. 7, б).

При наличии сигнала установки ( А = 0 ) все триггеры счетчика заблокированы и не реагируют на счетные импульсы шины С.

Для нормальной работы счетчика необходимо убрать сигнал установки 1111, т. е. сделать А = 1.

Тогда, через некоторое время tз , обусловленное инерционностью триггеров, счетчик восстановит свою работоспособность. Поэтому сигнал запуска счетчика С снимается с инверсного входа рециркуляционного генератора, что обеспечивает задержку запускающего перепада 1 – 0 относительно момента снятия сигнала установки А (момент начала «разблокирования» счетчика). Величина этой задержки tз зависит от емкости, подключенной к шине С (величина емкости должна быть не менее 40 пФ – в работе используется входная емкость осциллографа). При этом под воздействием перепада 1 – 0 на шине С счетчик начинает совершать переход в состояние 0000.

Момент окончания перехода регистрируется по изменению сигнала на выходе дешифратора DC (c инверсным входом) состояния 0000, выполненного на схеме «И-НЕ».

Инерционность дешифратора будет увеличивать регистрируемое время перехода на величину Δt.

Регистрация времени осуществляется с помощью двухлучевого осциллографа. Последовательно отсоединяя сигналы от входов схемы дешифратора, определить зависимость времени переходов от числа разрядов счетчика.

Рис. 7: а) схема асинхронного счетчика с последовательным переносом (обведена пунктиром) и схема для исследования времени перехода. б) эпюры напряжений A, B, C рисунка а)

Лабораторная работа №3. 1 «Исследование асинхронных двоичных счетчиков»

Хабаровский государственный технический университет

СХЕМОТЕХНИКА

ЛАБОРАТОРНЫЙ ПРАКТИКУМ

ЦИФРОВЫЕ УСТРОЙСТВА

Хабаровск 2000

ЛАБОРАТОРНАЯ РАБОТА №3.1

  1. В среде системы схемотехнического моделирования MICROCAP V (МС5) создать на базе интегральных схем (ИС) триггеров схему 4-разрядного асинхронного счетчика с последовательным переносом. Типы триггеров задаются преподавателем.
Читайте так же:
Как произвести сброс счетчика принтера

На рис.3.1.2,а. в качестве примера приведен результат моделирования для вышеприведенной схемы.

3. По временным диаграммам определить время установления счетчика tуст. Для этого выделить фрагмент диаграммы, обведенной штрих пунктирной линией на рис.3.1.2,а, и увеличить его. Данный фрагмент показан на рис.3.1.2,б. На данном рисунке хорошо видно накопление задержки при прохождении сигнала в счетчике от младшего разряда к старшему. Для определения tуст воспользуйтесь режимом курсорных измерений.

  • Исследовать полученные графики сделать выводы.

переносом, а также для исследования прохождения сигналов по цепям счетчика, и вычисления задержек распространения сигналов.

Рис.3.1.2. Временные диаграммы работы счетчика

с последовательным переносом

  1. На базе ИС, указанных преподавателем, создать схему 4-разрядного асинхронного счетчика с параллельным переносом.

Рис.3.1.3. Счетчик с параллельным переносом

Далее выполнить п.2 и 3 аналогично первому заданию.

Содержание отчета

  1. Цель работы;
  2. Схемы и временные диаграммы;
  3. Результаты измерения времени установления счетчиков;
  4. Выводы по проделанной работе.

ЛАБОРАТОРНАЯ РАБОТА №3.2

  1. Создать схему 3-разрядного реверсивного счетчика на базе ИС, указанных преподавателем.
  1. Выполнить моделирование схемы и построить временные диаграммы работы реверсивного счетчика.

3. По временным диаграммам убедиться в правильном функционировании счетчика. В случае неправильной работы скорректировать схему и повторить моделирование.
б) Изучение внутренней структуры и исследование работы

ИС реверсивного двоичного счетчика К155ИЕ7
Целью данного задания является изучение схемотехники и функционирования ИС реверсивного двоичного счетчика К155ИЕ7, а также исследования используемых схем предустановки и сброса.

  1. Выполнить моделирование работы ИС К155ИЕ7 и построить временные диаграммы основных сигналов. Пример построения временных диаграмм показан на рис.3.2.3.

Содержание отчета

  1. Цель работы;
  2. Схемы и временные диаграммы работы счетчиков;
  3. Выводы по проделанной работе.

Данная лабораторная работа предназначена для изучения способов построения счетчиков с произвольным модулем счета на примере реализации двоично-десятичных счетчиков.
^ Задание к лабораторной работе
а) Двоично-десятичный счетчик на базе ИС К155ИЕ5

  1. Создать схему двоично-десятичного счетчика на базе ИС К155ИЕ5.

2. Выполнить моделирование работы счетчика и построить временные диаграммы. Пример построения диаграмм показан на рис.3.3.1,б.

3. Убедиться в правильности функционирования счетчика. В случае неправильной работы откорректировать схему.
б) Исследование двоично-десятичного реверсивного счетчика

В данном задании исследуется способ построения реверсивного двоично-десятичного счетчика с принудительным насчетом. Для построения счетчика используется ИС двоичного реверсивного счетчика К155ИЕ7, имеющего входы предустановки.

1. Создать схему двоично-десятичного реверсивного счетчика на основе ИС К155ИЕ7. Пример построения схемы показан на рис.3.3.2,а.

2. Выполнить моделирование работы счетчика и построить временные диаграммы. Пример построения диаграмм показан на рис.3.3.2,б.

3. Убедиться в правильности функционирования счетчика. В случае неправильной работы откорректировать схему.

голоса
Рейтинг статьи
Ссылка на основную публикацию
Adblock
detector